Veeco is a global capital equipment supplier, headquartered in the U.S., that designs and builds processing systems used in semiconductor and compound semiconductor manufacturing, data storage and scientific markets for applications such as advanced packaging, photonics, power electronics and display technologies.

Veeco Instruments Inc.
Company typePublic
IndustryManufacturing
Founded1945; 79 years ago (1945)
Founders
  • Frank Raible
  • Al Nerken
HeadquartersPlainview, New York, USA
Key people
  • Bill Miller (CEO)
  • John Kiernan (CFO)
RevenueIncrease US$583 million (2021)
Increase US$57 million (2021)
Increase US$26 million (2021)
Total assetsIncrease US$899 million (2021)
Total equityIncrease US$438 million (2021)
Number of employees
1,091 (December 2021)
SubsidiariesUltratech
Websitewww.veeco.com
Footnotes / references
[1]

Veeco's processing system capabilities include laser annealing, photolithography, ion beam etch and deposition, metal organic chemical vapor deposition (MOCVD), wet wafer processing, molecular beam epitaxy (MBE), atomic layer deposition (ALD), physical vapor deposition (PVD), dicing and lapping, and gas and vapor delivery.

These technologies are used to enable artificial intelligence, virtual and augmented reality, high performance computing, autonomous vehicles, 5G wireless communication networks and cloud storage.[2]

History edit

 
Veeco MS-20 leak detector

Veeco was incorporated in 1945 by two scientists, Frank Raible and Al Nerken, who created the helium leak detector. The company's name "Veeco" stood for Vacuum Electronic Equipment Company. In the 1960s, the original Veeco merged with Lambda, a manufacturer of power supplies, and in the late 1980s, was purchased by British company Unitech.

In 1990, Edward H. Braun, then COO of Veeco, and a group of senior company executives purchased Veeco's instrument business from Unitech in a management buyout. The company again used the Veeco Instruments trade name and completed an initial public offering on the Nasdaq National Market in 1994 (NASDAQ: VECO). The IPO netted the company $27.5 million.[3]

Since going public in 1994, Veeco has completed more than a dozen acquisitions. The company purchased Ion Tech Inc. in 1999 and entered the optical coating market.[4]

In 2001, Veeco purchased Applied EPI, its present-day Molecular Beam Epitaxy group that currently maintains a leadership position in MBE technology worldwide.[5]

In 2003, Veeco purchased Emcore, paving the way for its unique metal organic chemical vapor deposition technologies in the advanced LED, Vertical-cavity surface-emitting laser (VCSEL) and photonics markets.[6]

From 2007 to 2018, Veeco invested heavily in ALD research, publishing numerous papers on the topic.

In July 2007, Braun, then 68, became chairman of the board of Veeco, with John R. Peeler, 52, joining the company as chief executive officer. Peeler was formerly president of JDSU's Communications Test and Measurement Division[7]

In 2008, Veeco settled a patent litigation it had brought against Asylum Research Corporation in 2003.[8]

In October 2010, Veeco announced the sale of its metrology business to Bruker Corporation in a cash deal for $229.4 million.[9]

In May 2012, John Peeler became chairman of the board of Veeco.[7]

In 2014, Veeco purchased Solid State Equipment Co., expanding its portfolio of solvent-based wet etch and clean technologies for semiconductor and compound semiconductor markets.[10]

On May 26, 2017, Veeco acquired front-end semiconductor process control equipment manufacturer Ultratech to expand into the advanced packaging market.[11] This added to the portfolio advanced packaging lithography, laser spike annealing and 3D wafer inspection technology used in high volume manufacturing of logic and memory devices. Via the Ultratech purchase, Veeco also acquired Cambridge Nanotech, a Boston-based innovator in atomic layer deposition technology.[12]

In October 2018, Bill Miller was named CEO.[13] Miller previously served as president and has led several growth initiatives within Veeco's business units and operations teams worldwide. In May 2020, changes were made to governance to bring more gender diversity to the board of directors. John Peeler, chairman and former CEO, retired from the board. Richard D’Amore, general partner of North Bridge Venture Partners and previously lead independent director, was appointed as chairman; and Mary Jane Raymond, chief financial officer and treasurer of II-VI Inc., was appointed to the Audit Committee.[14]

In 2020, Veeco succeeded in strengthening its profitability by optimizing R&D and extending core technologies into semiconductor and compound semiconductor markets.[15]

In 2021, Veeco shipped its first LSA101 Laser Spike Annealing System from its facility in San Jose, California facility to a leading semiconductor manufacturer.[16]

In February 2023, it was announced Veeco had acquired the Lund-headquartered manufacturer of CVD epitaxy systems - which enables advanced SiC applications in the electric vehicle market, Epiluvac AB.

Finances edit

For the fiscal year 2021, Veeco reported annual revenue of $583 million, 28% growth over 2020, driven by semiconductor and data storage performance.  This growth came with profitability driving $87 million in non-GAAP operating income and $1.43 or 66% growth in diluted non-GAAP EPS.

Veeco had cash flow from operations of $68 million, a 58% increase over 2020.[17][18]

Year Revenue in million US$ Gross profit in million US$
2021 583 242
2020 454 194
2019 419 158
2018 542 194
2017 476 176
2016 332 133
2015 447 177
2014 393 135
2013 332 103
2012 516 215
2011 979 474
2010 931 449
2009 282 114
2008 315 123
2007 402 158

Markets edit

Veeco specializes in thin film process equipment for major technology market sectors,[19] including:

  • Semiconductor
  • Compound semiconductor
  • Data storage
  • Scientific
  • Advanced packaging
  • MEMS and RF filters

Veeco systems are used for advanced materials deposition processes, cleaning and surface preparation, as well as the removal of critical materials. High tech manufacturers that purchase Veeco systems produce devices in high volumes. They also use them to develop next-generation products with the intent of making them more efficient, more cost effective and more advanced.[20]

Products edit

Front end of line (FEOL) semiconductor systems include:

  • Laser spike annealing systems for precision doping of materials at a controlled temperature
  • Ion beam etch tools used in spin torque transfer magnetic random access memory ("STT-MRAM") applications. STT-MRAM has many benefits over traditional random access memory such as its non-volatility, speed, endurance and power consumption.[21]
  • Atomic layer deposition (ALD) tools for semiconductor, solar and medical devices applications. Veeco is one of the key players in the global ALD market.[22]

Advanced packaging systems include:

Systems used in the manufacturing of MEMS devices and RF filters include:

  • Wet etch tools for etching thin films on substrates and sometimes the substrates themselves.[23]
  • Single-wafer wet clean systems that use a soak-and-spray approach for metal lift-off. This is used in MEMS and compound semiconductor applications. This tool was part of the SSEC acquisition.[24]
  • MBE and ion beam etch tools used to perform ion beam lithography in the manufacture of electroacoustic filters such as bulk acoustic wave ("BAW") and surface acoustic wave ("SAW") RF filters.

Systems used for compound semiconductor applications:

Veeco's MOCVD tools are used for the deposition of III-V compound semiconductor materials like indium phosphide (InP), gallium arsenide (GaAs) and gallium nitride (GaN) in a single crystal layer to form a thin film.[25]

It is used for depositing highly uniform arsenide and phosphide ("As/P") films to create amber and red output colors in LEDs.

Emerging applications for MOCVD include mini-LEDs, and micro-LEDS used in LED-backlit displays. It is also expected to enable VCSELs used for facial recognition applications, as well as GaN-based RF and power semiconductor devices.[25]

Systems used for advanced materials research and industrial applications

Veeco MBE systems are used by scientific research organizations and universities as part of materials science discovery.

  • At the Nanolab at Eindhoven University of Technology, a Veeco MBE reactor is used to grow epitaxial layers for GaAs-based materials.[26]
  • At Tufts University, the Veeco GEN Xplore enables the growth of small bandgap materials. https://engineering.tufts.edu/epitaxycore/capabilities/molecular-beam-epitaxy

MBE, wet etch and clean systems are also used to manufacture high power lasers and infrared sensors.

IBD, IBE, PVD, and lapping and dicing tools are used in data storage applications such as hard disk drives. IBD tools deposit thin layers of advanced materials on various substrates to alter how light is reflected and transmitted.[27]

Technology breakthroughs edit

Veeco has invested in ALD research and supports an ALD science research team, which has published numerous papers on the topic between 2007 and 2018.[28]

For solar cell applications, in 2010, Veeco MOCVD developed a tool that increased cell growth rates resulting in higher throughput without compromising performance.[29]

Facilities edit

Headquartered in Plainview, New York, Veeco has 15 locations in 11 countries, including:[citation needed]

  • Somerset, NJ
  • Horsham, PA
  • Waltham, MA
  • San Jose, CA
  • St. Paul, MN
  • Munich, Germany
  • Shanghai, China
  • Tokyo, Japan
  • Seoul, Korea
  • Hsinchu, Taiwan
  • Bangkok, Thailand
  • Laguna, Philippines
  • Singapore
  • Penang, Malaysia
  • Lund, Sweden

References edit

  1. ^ "Veeco Instruments Inc. 2021 Annual Report (Form 10-K)". U.S. Securities and Exchange Commission. 18 February 2022.
  2. ^ "Big Growth Areas: Connectivity, AI, Reliability". Semiconductor Engineering. 2020-01-14. Retrieved 2022-05-13.
  3. ^ "Veeco Instruments Inc. History". Funding Universe. June 9, 2020. Retrieved June 9, 2020.
  4. ^ "Veeco will merge with Ion Tech and OptiMag". optics.org. Retrieved 2020-06-09.
  5. ^ "Veeco will buy Applied Epi for $132 million to offer epi tools for compound devices". EE Times. September 7, 2001. Retrieved June 9, 2020.
  6. ^ "Emcore sells TurboDisc business to Veeco - News". Compound Semiconductor. Retrieved 2020-06-09.
  7. ^ a b "John R Peeler, Ultratech Inc: Profile and Biography". Bloomberg.com. Retrieved 2022-05-13.
  8. ^ "StackPath". www.laserfocusworld.com. Retrieved 2020-06-09.
  9. ^ LePedus, Mark (August 16, 2010). "Veeco sells metrology unit to Bruker". EE Times. Retrieved June 9, 2020.
  10. ^ "Veeco completes acquisition of Solid State Equipment Holdings LLC | Semiconductor Digest". Retrieved 2020-06-09.
  11. ^ Robert, Castellano (February 6, 2017). "Veeco Is Reinventing Itself, But Why Purchase Ultratech?". Seeking Alpha. Retrieved June 9, 2020.
  12. ^ Sieffert, Don (December 21, 2012). "Cambridge NanoTech assets acquired by Calif. semiconductor/ LED firm". www.bizjournals.com. Retrieved 2020-06-10.
  13. ^ "Veeco's president Bill Miller to become CEO as John Peeler transitions to executive chairman; CFO Maheshwari adds COO role". www.semiconductor-today.com. Retrieved 2022-05-13.
  14. ^ "Veeco announces governance and diversity improvements to board". www.semiconductor-today.com. Retrieved 2020-06-10.
  15. ^ "Veeco returns to positive operating cash flow as it completes restructuring". www.semiconductor-today.com. Retrieved 2022-05-13.
  16. ^ "Evertiq - Veeco ships first system from new manufacturing facility". evertiq.com. Retrieved 2022-05-13.
  17. ^ Inc, Veeco Instruments (2022-02-16). "Veeco Reports Fourth Quarter and Fiscal Year 2021 Financial Results". GlobeNewswire News Room. Retrieved 2022-05-13. {{cite web}}: |last= has generic name (help)
  18. ^ "VECO | Veeco Instruments Inc. Annual Cash Flow - WSJ". www.wsj.com. Retrieved 2022-05-13.
  19. ^ "Veeco Instruments Inc. - AnnualReports.com". www.annualreports.com. Retrieved 2022-05-13.
  20. ^ "Veeco Instruments Inc. - AnnualReports.com". www.annualreports.com. Retrieved 2020-06-10.
  21. ^ Zhang, Li; Zhao, Weisheng; Zhuang, Yiqi; Bao, Junlin; Wang, Gefei; Tang, Hualian; Li, Cong; Xu, Beilei (November 14, 2013). "A 16 Kb Spin-Transfer Torque Random Access Memory With Self-Enable Switching and Precharge Sensing Schemes". IEEE Transactions on Magnetics. 50 (4): 1–7. doi:10.1109/TMAG.2013.2291222. ISSN 1941-0069.
  22. ^ LLP, Fior Market Research (2020-01-29). "Global Atomic Layer Deposition (ALD) Market is Expected to Reach USD 9.51 Billion by 2025 : Fior Markets". GlobeNewswire News Room. Retrieved 2020-06-10.
  23. ^ "Etching Processes". MNX - MEMS and Nanotechnology Exchange. Retrieved June 9, 2020.
  24. ^ "SSEC unveils single wafer wet processing tools for MEMS - News". Silicon Semiconductor. Retrieved 2020-06-10.
  25. ^ a b "MOCVD Vendors Eye New Apps". Semiconductor Engineering. 2020-02-20. Retrieved 2020-06-10.
  26. ^ "MBE Veeco GENxplorer". www.tue.nl. Retrieved 2020-06-10.
  27. ^ "Archived copy" (PDF). Archived from the original (PDF) on 2020-06-10. Retrieved 2021-01-12.{{cite web}}: CS1 maint: archived copy as title (link)
  28. ^ "ALD Research Archives". Veeco. Retrieved 2020-06-10.
  29. ^ Schmieder, Ken; Haughn, Chelsea; Pulwin, Ziggy; Dyer, Devon; Mutitu, James; Doty, Matt; Ebert, Chris; Barnett, Allen (April 19, 2012). "Analysis of high growth rate MOCVD structures by solar cell device measurements". 2011 37th IEEE Photovoltaic Specialists Conference. pp. 000542–000545. doi:10.1109/PVSC.2011.6186013. ISBN 978-1-4244-9965-6. S2CID 8736464.

External links edit

  • Official website
  • Business data for Veeco Instruments Inc.: